From 57a8d8360c85528fb477e76ef1a5f2eeb151be14 Mon Sep 17 00:00:00 2001 From: McSinyx Date: Wed, 18 May 2016 14:25:25 +0700 Subject: Initial commit --- X/.xinitrc | 9 +++++++++ 1 file changed, 9 insertions(+) create mode 100644 X/.xinitrc (limited to 'X/.xinitrc') diff --git a/X/.xinitrc b/X/.xinitrc new file mode 100644 index 0000000..176a3ba --- /dev/null +++ b/X/.xinitrc @@ -0,0 +1,9 @@ +#!/bin/sh +setxkbmap -option caps:swapescape +xrandr --output VGA-0 --mode 1600x900 --primary --right-of LVDS +synclient TapButton1=1 VertEdgeScroll=1 HorizEdgeScroll=1 VertTwoFingerScroll=0 +ibus-daemon -drx +nm-applet & +clipit & +mate-power-manager & +exec awesome -- cgit 1.4.1