From 04bb23545ae2d7029ecfc4401ea94d89970dd89c Mon Sep 17 00:00:00 2001 From: Raphael McSinyx Date: Thu, 3 Aug 2017 11:42:26 +0700 Subject: Update 2017-08-03 --- vim/.vim/vimrc | 6 ++++-- 1 file changed, 4 insertions(+), 2 deletions(-) (limited to 'vim/.vim/vimrc') diff --git a/vim/.vim/vimrc b/vim/.vim/vimrc index 9006fa5..5cfa33a 100755 --- a/vim/.vim/vimrc +++ b/vim/.vim/vimrc @@ -5,7 +5,7 @@ set undodir=~/.cache/vim/undo set directory=~/.cache/vim/swap set backupdir=~/.cache/vim/backup set viminfo+=n~/.cache/vim/viminfo -set clipboard=exclude:cons\|linux +set clipboard=exclude:cons\|linux autochdir set showcmd noshowmode ruler wildmenu confirm set nostartofline set list listchars+=tab:├─ @@ -18,9 +18,11 @@ let g:jedi#popup_select_first = 0 let g:jedi#show_call_signatures = 2 let g:jedi#smart_auto_mappings = 0 autocmd BufNewFile,BufRead *.PAS setlocal filetype=pascal -autocmd FileType c,h,cpp,arduino setlocal noexpandtab shiftwidth=8 +autocmd FileType c,h,go,cpp,arduino setlocal noexpandtab shiftwidth=8 autocmd FileType rst setlocal shiftwidth=3 autocmd FileType css,javascript,markdown,python setlocal shiftwidth=4 autocmd FileType python syntax keyword pythonBoolean False True None autocmd BufWinEnter * let w:m1=matchadd('ColorColumn', '\%<81v.\%>80v', -1) map Q gq +inoremap ≤ +inoremap ≥ -- cgit 1.4.1